当前位置:闪电软件园 > 应用 > 行业软件 >

Mentor Graphics ModelSim SE 2020.4 许可证激活教程

(认准闪电软件园)
  • 浏览(
  • 更新时间:2020-12-30
  • 软件大小:未知
  • 界面语言:简体中文
  • 授权方式:共享软件
  • 运行环境:Win7/win8/win10
  • 官方网站:https://www.mentor.com/

软件标签:ModelSim SE 2020 
ModelSim破解版是领先的仿真和调试解决方案,ModelSim将前所未有的验证功能集成到了经济高效的HDL仿真解决方案中。除了支持标准HDL,ModelSim还提高了设计质量和调试效率。ModelSim屡获殊荣的Single Kernel Simulator(SKS)技术可在一个设计中透明混合VHDL和Verilog。它的体系结构允许独立于平台的编译以及本机编译代码的出色性能。图形用户界面功能强大,一致且直观。在其他任何窗口中的活动之后,所有窗口都会自动更新。例如,在结构窗口中选择一个设计区域会自动更新源,信号,过程和变量窗口。您可以在不离开ModelSim环境的情况下进行编辑,重新编译和重新仿真。所有用户界面操作都可以编写脚本,并且仿真可以批处理或交互模式运行。ModelSim以标准延迟格式(SDF)提供的时序模拟行为,RTL和门级代码,包括VHDL VITAL和Verilog门库。ModelSim易于使用,统一的调试和仿真环境为当今的FPGA设计人员提供了在生产性工作环境中的高级功能。

软件优势

1、更智能的GUI
智能设计的GUI可以有效利用桌面空间。 ModelSim提供了高度直观的交互式图形元素(窗口,工具栏,菜单等)排列方式,使您可以轻松查看和访问其许多强大功能。 结果便是易于使用且可以快速掌握的功能丰富的GUI。 通过将Tcl用户界面集成到其HDL仿真器中,ModelSim重新定义了仿真的开放性。 Tcl是一种简单但功能强大的脚本语言,用于控制和扩展应用程序。
ModelSim GUI提供高效的设计调试和分析功能以及项目和文件管理。
2、记忆窗
存储器窗口允许直观,灵活地查看和调试设计存储器。 VHDL和Verilog内存是从源中自动提取并在GUI中查看的,从而提供了强大的搜索,填充,编辑,加载和保存功能。内存窗口支持从文件或通过使用恒定,随机和计算值来预加载内存,从而节省了仅加载内存来初始化测试平台部分的耗时步骤。所有功能都可以通过命令行使用,从而可以在脚本中使用它们。
3、波形和结果查看
ModelSim提供了高性能的全功能波形窗口。 Wave窗口提供了用于标记有趣的时间点并测量光标之间的时间距离的光标。波形窗口的内容可以通过强大的虚拟信号定义和分组灵活地设置格式。
在两个仿真结果之间可以轻松进行波形比较。通过用户指定的时间过滤功能,可以轻松处理RTL和门级仿真结果之间的时序差异。
ModelSim提供了独特的WLF管理实用程序(又名WLFMAN),该实用程序允许处理WLF结果文件。这使您可以指定要记录到WLF文件的信息量,并允许您根据信号或时间对现有WLF文件进行子集化。
WLFMAN实用程序可有效管理磁盘空间并提高模拟后调试效率。
4、源窗口模板和向导
使用VHDL和Verilog模板和向导,您可以快速开发HDL代码,而不必记住确切的语言语法。只需单击鼠标,即可使用所有语言结构。易于使用的向导将引导您逐步完成更复杂的HDL块的创建。向导显示了如何创建可参数化的逻辑块,测试台激励和设计对象。源代码窗口模板和向导通过省时的快捷方式使新手和高级HDL开发人员受益。
5、专案经理
项目管理器大大减少了组织文件和库所需的时间。在编译和模拟时,项目管理器会存储每个项目的唯一设置,使您可以从上次中断的地方重新启动模拟器。仿真属性使您可以轻松地使用预先配置的参数进行仿真。
6、代码覆盖率
设计验证的完整性可以通过代码覆盖率来衡量。 ModelSim支持语句,表达式,条件,切换和FSM覆盖范围。代码覆盖率指标是从HDL源自动得出的。由于创建了许多可配置和可重复使用的设计模块,并且并非所有指标都很有价值,因此可以使用代码覆盖率浏览器中指定的源代码实用程序和排除项来灵活管理代码覆盖率指标。
7、基于断言的验证
ModelSim提供了一个全面的,基于标准的基于断言的验证(ABV)解决方案,可以选择SystemVerilog断言(SVA),属性规范语言(PSL)或两者。
8、强大的,具有成本效益的模拟
解决方案ModelSim提供了功能强大的仿真解决方案,非常适合验证中小型FPGA设计;尤其是具有复杂任务关键功能的设计。
9、平台支援
在32/64位Windows 10,Linux RHEL6和RHEL7以及基于Linux SLES 11和SLES12的平台上支持ModelSim。在64位系统上运行时,ModelSim以32位模式运行。

功能特色

1、高级代码覆盖率
ModelSim的高级代码覆盖功能和易用性降低了利用这一宝贵验证资源的障碍。
ModelSim高级代码覆盖功能为系统验证提供了有价值的指标。 所有覆盖信息都存储在统一覆盖数据库(UCDB)中,该数据库用于收集和管理高效数据库中的所有覆盖信息。 可以使用分析代码覆盖率数据的覆盖率实用程序,例如合并和测试排名。 覆盖结果可以交互式查看,模拟后或多次模拟运行合并后查看。 代码覆盖度量可以按实例或设计单位报告,从而提供管理覆盖数据的灵活性。
支持的覆盖类型包括:
声明报道  
运行期间执行的语句数  
分行报道  
影响HDL执行控制流的表达式和case语句  
条件覆盖  
将分支上的条件分解为使结果为true或false的元素  
表达范围  
与条件覆盖相同,但涵盖并发信号分配而不是分支决策  
重点关注表达  
以确定覆盖结果的表达式的每个独立输入的方式呈现表达覆盖率数据  
增强的切换覆盖范围  
在默认模式下,计数从低到高和从高到低的转换;在扩展模式下,计算与X的转换  
有限状态机覆盖  
州和州的过渡覆盖范围  
2、混合HDL仿真
ModelSim将仿真性能和容量与模拟多个模块和系统以及实现ASIC门级别签核所需的代码覆盖和调试功能相结合。 全面支持Verilog,SystemVerilog for Design,VHDL和SystemC为单语言和多语言设计验证环境提供了坚实的基础。 ModelSim易于使用且统一的调试和仿真环境为当今的FPGA设计人员提供了他们不断增长的高级功能以及使他们的工作高效的环境。
3、有效的调试环境
ModelSim调试环境为Verilog,VHDL和SystemC提供了广泛的直观功能,使其成为ASIC和FPGA设计的首选。
ModelSim通过智能设计的调试环境简化了发现设计缺陷的过程。 ModelSim调试环境有效地显示设计数据,以便分析和调试所有语言。
ModelSim允许在保存结果的仿真后以及实时仿真运行期间使用许多调试和分析功能。例如,coverage查看器使用代码覆盖率结果分析和注释源代码,包括FSM状态和转换,语句,表达式,分支和切换覆盖率。
信号值可以在源窗口中注释并在波形查看器中查看,从而简化了对象及其声明之间以及访问文件之间的超链接导航的调试导航。
可以在列表和波形窗口中分析竞争条件,增量和事件活动。可以轻松定义用户定义的枚举值,以便更快地了解模拟结果。为了提高调试效率,ModelSim还具有图形和文本数据流功能。
ModelSim与Mentor的旗舰模拟器Questa®共享一个共同的前端和用户界面。这使客户可以轻松升级到Questa,因为他们需要更高的性能并支持高级验证功能。

安装激活教程

1、在本站下载并解压,如图所示
2、双击modelsim-win64-2020.4-se.exe运行安装,选择软件安装路径
3、不要安装Key Driver,点击否
4、安装完成,退出向导
5、打开crack1文件夹,将win64文件夹下的mgcld64.exe和mgls64.dll复制到安装目录中,默认C:\modeltech64_2020.4\win64,点击替换目标中的文件
6、将MentorKG.exe和patch64_dll.bat复制到默认C:\modeltech64_2020.4\win64中,在C盘的根目录中创建一个flexlm文件夹,然后运行patch64_dll.bat,将生成的LICENSE.TXT文件保存到C:\flexlm\中
7、创建系统环境变量,LM_LICENSE_FILE = C:\flexlm\LICENSE.TXT
8、运行程序享用即可

闪电小编说明:

在ModelSim中,所有设计都被编译到一个库中。创建工作库后,您可以将设计单元编译到其中。 ModelSim库格式在所有受支持的平台上都兼容。您可以在任何平台上模拟设计,而无需重新编译设计。编译完设计后,您可以通过在顶级模块(Verilog)或配置或实体/架构对(VHDL)上调用仿真器来将仿真器加载到设计中。假设设计成功加载,则将仿真时间设置为零,然后输入运行命令以开始仿真。如果没有获得预期的结果,则可以使用ModelSim调试环境来跟踪问题的原因。

更新日志

ModelSim 2020.4的发行说明-日期:2020年10月13日
在2020.4中修复了SystemVerilog缺陷-QSIM
-64132-Vlog有时会给出错误的错误,例如:
-**错误:解析文件test.sv(50)时:找不到名称'get_type '在范围'tpkg'中
-对于类似'pkg :: typename :: get_type()的名称,其中“ typename”是解析为类的typedef名称。
-QSIM-64922-Vlog报告了错误:
-**错误:test.sv(7)在Implements子句中非法引用了接口类型C :: Intf。
-如果“ C”是参数化的类类型,而“ Intf”是引用接口类的typedef名称,则
在2020.4中修复了VHDL缺陷-QSIM
-65962-如果在编译时使用-autoorder和-quiet开关,如果语义错误在刷新阶段遇到错误,将不会打印错误。此行为是不正确的,并且现在打印了所有错误,尽管其他编译日志消息也被禁止
-QSIM-66278-如果占用超过2G的空间的大型数据结构将崩溃使用默认初始化。为此,数据结构中所有字段的默认值必须为0或NULL。显式初始化对象将解决此问题。
-QSIM-65639-design.bin的信号范围可能不正确。如果信号范围取决于函数调用,则会发生这种情况。这些函数使用std.textio。

软件无法下载?不知道解压密码?微信关注订阅号"闪电下载"获取

本帖长期更新最新版 请收藏下载!版权声明:本站提的序列号、注册码、注册机、破解补丁等均来自互联网,仅供学习交流之用,请在下载后24小时内删除。

  • 下载地址

捐助vip:软件无法下载?联系:sd173@foxmail.com

  • 猜你喜欢
  • 用户评论